RISC-V

Looking back at semicon / tech in 2021!

Posted on Updated on

We have finally reached the end of 2021! Let’s have a look at some of the breath-taking moments from the global semiconductor and technology industry during the year.

Dr. Anthony Fauci.

In January 2021, Dr. Anthony Fauci called for remembering Covid-19 lessons at PMWC 2021. I was invited by Dr. Leroy Hood, SVP and Chief Science Officer, Providence St. Joseph Health, Chief Strategy Officer, Co-founder, and Professor, Institute for Systems Biology (ISB), and Co-Program Chair, PMWC 2021. Dr. Fauci was presented the luminary award.

Malcolm Penn, Future Horizons, UK, predicted the global semiconductor market to grow 18 percent in 2021. Scotten Jones, President, IC Knowledge LLC, presented on logic leadership in PPAC era at ISS 2021. AI processors were said to be driving innovations in advanced packaging, as per Jean-Christophe Eloy, CEO and President, Yole Développement. At ISS 2021, semiconductor and equipment recovery were said to be on track for 2021.

Come February 2021, and Semiconductor Industry Association (SIA) had a session on memory semiconductors market and technology trends. At SEMI Flex 2021, there was a panel discussion on sustainability and power for batteries. There was a session on electronics for the brain, and another on liquid electronics for stretchable conductors. Next, European 5G Conference 2021 had a session on key drivers for 6G. IHS Markit presented on autonomous sensors and future apps. Erik Collart, Edwards, came up with an enlightening talk on smart manufacturing in More-than-Moore era at the SEMI Technology Unites summit. Embedded computing with image sensors was another.

Dr. Wally Rhines.

Dr. Wally Rhines, CEO, Cornami gave his views on why FHE was ultimate for cyber security. Quantum computing is going to be next game changer. At an SIA summit, it was predicted that the global semiconductor industry could be worth trillion dollars by 2036. Elsewhere, in Europe, CEA-Leti has big bets on silicon-based quantum computing. It was announced IEEE International Reliability Physics Symposium (IRPS), will be held virtually in March 2021.

Bill Gates said green premium is metric that says you are on to success in 2050 at CERAWeek by IHS Markit 2021. In March 2021, there was a conference on RISC-V, and how China can reduce risks. Semiconductor outlook 2021 — navigating through turbulent times, came next, by SEMI. At the Technology Week Summit organized by SEMI, SAW/BAW filters, and future materials technology for new filters were discussed, which took me back to my days at Global Sources, Hong Kong, as were technological challenges for MOS HEMT GaN power devices. IRPS 2021 discussed memory’s journey towards future ICT world, and reliability of SiC MOSFETS. SEMI organized a conference on semiconductor outlook 2021 — navigating through turbulent times.

In April, I received shocking news. We lost Shankar Ghosh, or Keshtoda, to Covid-19! He was my guru from my young days. I recall my first ever article, at 14, published by him at Pragati Manjusha, Allahabad. Just a week back, we had lost Bachchu, or Subhash Ghosh, his younger brother. There was another very sad loss of Buluda, or Arun Chakravorty, our brother-in-law and a very close friend, due to Covid-19.

Next, USA started strengthening microelectronics supply chains, and with the CHIPS Act in place, looks well set to do so. The White House said: Resilient American supply chains will revitalize and rebuild domestic manufacturing capacity, maintain America’s competitive edge in research and development, and create well-paying jobs. Also, e-Estonia Digital provided masterplans on mobility.

6G’s chance for semiconductors, and skills for a digitalized Europe were also discussed at the SEMI ISS 2021 conference. Sensors and software were enabling autonomy for urban air mobility at the SEMI MSTC 2021 conference. Rockwell Automation discussed how Industry 4.0 can strengthen India’s pharmaceuticals sector. Cedrik Neike, Siemens, called for Industry 4.0 in silos needs to be brought together. At ISQED 2021, there was a session on the confluence of AI/ML with EDA and software engineering. Yole Développement, France, came up with global technology trends impacting optical transceivers market.

Arvind Krishna.

In May 2021, Arvind Krishna, Chairman and CEO, IBM, announced a major breakthrough on world’s first 2nm technology. It was built at the Innovation Lab in Albany, New York fab. At Display Week 2021, there was an engrossing Women in Tech panel on leadership skills. JS Choi, Samsung Display, talked about the metaverse and great future of display. Every display that maximizes immersion is part of the metaverse. Another interesting session was on start-manage-exit option for CEOs. Guillaume Chansin, Director of Display Research, presented on the opportunities in AR/VR for display manufacturers. Next, 6GHz emerged as the new spectrum battleground.

SEMI ASMC 2021 began with continuing Moore’s Law! Center for the Study of the Presidency & Congress (CSPC), USA, organized a conference on semiconductor shortage lessons for US innovation leadership. Digital Twin Consortium organized a webinar on how digital twin is making the vision come to life. Experts at INDIAai discussed the race to AI is just beginning.

Come June, and Ericsson UnBoxed Office had a session on the importance of network reliability from telco’s perspective. GSEF 2021 held a panel discussion around the generation and use of data in fab processing. There was the spectrum roadmap for Europe laid out. At the Leti Innovation Days, hardware is back, encapsulates role of microelectronics. At the VLSI Technology & Circuits 2021 conference, there was a panel discussion around new generation chip makers vs. incumbents. Here, Tesla made the first appearance. There was another discussion around 3D/heterogeneous integration: Are we running towards a thermal crisis?

At VLSI Technology & Circuits 2021 conference, Spintronics workshop suggested a gateway to green society. Hexa-X defining blueprint for 6G was hot topic at EuCNC 6G summit. Acellera and Electronic System Design (ESD) Alliance (EDA Alliance) co-hosted a conference on Remote work, remote chip design: Building chips during a pandemic. China Tech Threat’s co-founder, Dr. Roslyn Layton, hosted a virtual panel: Let the Chips Fall at BIS? It was also stated that real men own fabs that can re-invent the future! At the European Commission (EC) conference, there was the inauguration of the EllaLink optical submarine cable linking the European and South American continents.

Elon Musk.

In July 2021, the Center for the Study of Presidency and Congress (CSPC), USA, and National Security Space Program, organized a session on building space capabilities over the horizon. Cadence Design Systems introduced the Cerebrus intelligent chip explorer to revolutionize intelligent chip design. Elon Musk spoke at MWC21 Barcelona how SpaceX was keen on landing people on Mars. Antler Southeast Asia introduced 14 new startups at its demo day. Asia Tech X Singapore organized a panel discussion on deep tech for global good, at the accelerateHER Asia. There was a panel discussion on planes, trains, automobiles and ships: satcoms-on-the-move.

Islam Salama, VP, Intel, discussed more than Moore and heterogeneous integration through packaging at Global Semiconductor & Electronics Forum (GSEF) 2021. Dr. Wally Rhines presented the strong growth in EDA and trends in semiconductor design ecosystem at the SEMI Innovation for a Transforming World. Marco Chisari, Bank of America, Merrill Lynch, presented golden age or short-term cycle for global semiconductor market. There was Ericsson, scaling with 5G and edge computing.

In August 2021, there was a very painful and shocking development. My eldest sister, Ms. Shukla Mukerjee, lost her battle with the dreaded cancer, leaving us extremely distressed. Meanwhile, Ericsson was unlocking potential of 5G for consumers. There was SIA’s session on modern hardware and measurable security. There was a panel discussion on monetizing satellite apps, new business model with innovative use cases in connectivity at the Satcom Industry Association (India) conference.

Ms. Taguhi Yeghyan.

In September 2021, Vladimir Roznyatovskiy, Lux Research, presented innovations in emergent displays at SEMI, Strategic Materials Conference (SMC) 2021. Role of innovations enabling consumer AR technologies was another. Chuck Byers, Industry IoT Consortium, presented top 10 disruptive new technologies for industrial and IoT networks. SIA had a session on US semiconductor industry facing challenges, and historic opportunities. Xecs Eureka cluster showed support for RD&I in the electronic components and systems. NASA’s flight to Mars via Ingenuity was showcased at Sensors Converge 2021. Lip Bu-Tan, CEO, Cadence, discussed harnessing analytics for electronics industry renaissance. Future Horizons predicted the global semiconductor industry to grow 24.5 percent in 2021. Ms. Taguhi Yeghyan, Market and Technology Analyst, Yole Developpement, presented MEMS and CIS: lithography and bonding equipment market state and outlook, at the Connecting Heterogeneous Systems summit, organized by SEMI Europe.

In October 2021, EMSNow India was launched, carrying my article on how the Indian electronics manufacturing is in a boom period. My blog was featured alongside Forbes and BusinessKorea on China Tech Threat! My thanks to China Tech Threat. SEMI organized a session on challenges in metrology and analysis for next-generation semiconductors.

CASPA, USA, discussed the semiconductor chip shortage, impact, and solutions. Ms. Carlista Redmond, CEO, talked about how RISC-V means an open era of computing! At MEMS & Sensors Executive Congress (MSEC) 2021, iSono Health gave an update on the increasing access to breast cancer screening. European Technology Platform for High Performance Computing (ETP4HPC) organized a session on how EU HPC centres are transforming industries. Yole Developpment, France, organized a conference on supply chain disruption and other MCU market trends.

SIA had a panel discussion on race for semiconductor supremacy: China vs. emerging democratic technology alliance. Indian Space Association (ISpA) was formally launched October 11, 2021. Tech women in space paving way for next generation was organized by Satcom Industry Association (SIA) India. Hydrogen producer, Lhyfe, from city of Nantes, France, started producing green hydrogen for life from seawater. Challenges in metrology and analysis for next-gen semiconductors was discussed at a SEMI conference. Indian Space Association (ISpA) announced its launch.

e-Estonia.

Following the USA’s Chips for Americas Act, now, Europe also joined the bandwagon with its Chips Act. EU is home to leading global semiconductor research institutions, such as IMEC in Belgium, CEA-Leti in France, and Fraunhofer-Gesellschaft in Germany. The US Chips Act also calls for the creation of a National Semiconductor Technology Center (NSTC), and an advanced packaging research institute.

SEMI, USA organized the Global Smart Manufacturing Conference 2021, where digital twins and software-defined manufacturing were discussed. SIA, USA organized another conference on catalyzing US semiconductor design ecosystem. This was followed by CAR-SEMI conference on chip shortage impact on automotive. The month closed with the state of AI in Estonia, and Global Semiconductor Alliance event on future of automotive computing: cloud vs. edge. Also, Semiconductor Research Corp. (SRC) and SIA discussed new trajectories for communication.

My article: It takes pure brains to work in semiconductor industry, generated lot of interest in Nov. 2021. EV World Congress 2021 looked at rEV index accelerating EC adoption. The cities of Madrid and Helsinki continued to ride ahead with e-mobility and EVs. At SEMI, USA conference, the global semiconductor market was predicted to cross $600 billion in 2022. Another session tried to address what’s behind the chip shortages, and what’s driving the current semiconductor markets?

December began with SEMI’s future of computing in 2040. At, Semicon West 2021, there were some interesting sessions. Rick Bergman, AMD, spoke about innovation beyond Moore’s Law: new era in gaming graphics. Kai Beckmann at Merck talked about shaping the future of electronics. VLSIresearch, presented on the state of the semiconductor market in 2022. Nanotronics unveiled the nSpec Turbo inspection tool at Semicon West 2021.

Next, SRC-SIA looked at the new trajectories for memory and storage. DVCon India 2021 looked at whether respins were a fact of life. The year closed out with Leti’s devices workshop in France, which looked at semiconductor solutions to speed up telecom revolution. There was a session on innovative BAW filters for 5G sub-6GHz. How I miss electronic components! I was probably the best editor at Global Sources for electronic components, visiting Shenzhen, Taiwan, etc., multiple times.

There you go! Let us hope that the semiconductor chip shortage will finally go away this year, and the semiconductor industry continues its onward march in 2022. We may get to hear more about FHE in the coming year. Perhaps, Tesla will come up with new factories, pursue energy and software, and grow even bigger.

RISC-V means open era of computing!

Posted on

Ms. Calista Redmond, CEO, RISC-V International, presented on RISC-V open era of computing at the ongoing CASPA 2021 annual conference on global semiconductor innovation and outlook.

RISC-V is the free, open instruction set architecture. We have ushered in a new era. It has enabled freedom of design across all domains and industries. It is a disruptive technology. 47 base instructions make up the RISC-V ISA. It is modular by design, and growing rapidly right now, with numerous extensions. There are proprietary cores.

Ms. Calista Redmond.

There are no boundaries. This has caused an increase in innovation. RISC-V business model involves working with collaboration partners, broaden supply chain options, expanded geographies and markets, and development. You can grow at much more accelerated pace. RISC-V also fuels the community to seize growing opportunities. There will be 50 billion connected and IoT devices by 2030. By 2025, 40 percent of ASICs will be designed by OEMs, up from 30 percent today.

Rapid RISC-V growth is led by industrial. Semico Research predicts that the market for RISC-V CPU cores will grow by 114.9 percent CAGR from 2020-25. By 2025, RISC-V cores will capture 14 percent of the overall CPU core business across all major apps. By 2025, RISC-V will be about 28 percent of IoT, 12 percent of industrial, and 10 percent of automotive, respectively.

Wilson Research Group/Siemens found that 23 percent of ASIC and FPGA projects incorporated RISC-V in at least one processor, in 2020. The total market for RISC-V IP and software is likely to grow to $1.07 billion by 2025, at CAGR of 54.1 percent. RISC-V is being used across many industry segments. Intel announced Nios processor based on RV321A. Alibaba has RISC-V core in its Xuantie 910 processor for cloud and edge servers. European Processor Initiative has RISC-V accelerator, with the first chip out in September 2021.

Telecom and communications have tremendous opportunities. Alibaba and Andes have adopted RISC-V. In automotive, innovation is driving volume. Billions of connected devices will happen in the next 5-10 years. Imagination Technologies and IAR Systems have incorporated it. In consumer and IoT devices, Huawei, Zepp Health, and Greenwaves have used it. So have Microchip, RIOS Lab, and SiFive.

AI and ML is incorporated across many areas, including industrial IoT, HPC, etc. Some users are Esperanto and StarFive. Andes released superscalar multicore and L2 cache controller processors. Nvidia Cuda is another. Edge computing has several examples. Some are Seeed Studio, Micro Magic, and Western Digital.

Today, there are over 2,300 members of RISC-V, across 70 countries. In 2021, the membership has already doubled. RISC-V International delivers incredible member support. Besides compatibility and verification, it is also involved in advocacy and alliances. There is a marketplace exchange, as well. RISC-V has 10 certifications going for ratification right now. There are special interest groups (SIGs). RISC-V offers base building blocks, plenty of space to build solutions with your expertise/differentiation on top of that to make money.

RISC-V an opportunity for China to reduce risks

Posted on Updated on

2nd RISC-V Week commenced today in Grenoble and Paris, France. Ms Lucilla Sioli, Director for Artificial Intelligence and Digital Industry within Directorate-General CONNECT at the European Commission, talked about Open Source Hardware: A European Perspective.

There is a clear and increasing interest for open source hardware and RISC-V solutions in Europe. It is important to have an alternative processor ecosystem due to various reasons like uncertainty about established processor IP providers, geopolitical considerations, creation of healthy competition in processor IP, etc. From a European perspective, there is a need to help further the creation of a European ecosystem around open source hardware addressing all performance ranges and including software and tools. Ms. Sioli provided an overview of the forthcoming European initiatives in processors and semiconductor technologies, and specific information about open source hardware activities.

Ms. Sioli talked about the European Processor Initiative and the significant role of RISC-V. EU expenditure from 2021-2027 is around Euro 1,824.3 billion. There are funding opportunities for open source hardware. Horizon Europe calls for proposals will be launched in the coming months. Specific call related to the open source hardware support action will also happen. Specific call for processor design projects in lower technology readiness levels (TRLs) will follow.

Horizon Europe will re-inforce EU’s technology autonomy is electronic components and systems. It supports the future needs of vertical industries and the economy at large. Digital Europe program ensures that Europe drives the digital transformation of the economy and society. There are testing and experimentation facilities in AI hardware.

RISC-V in China
Pierre Sel, CEA, (CEA, Ambassade de France en Chine), and Didier Guy (Expertise France, Ambassade de France en Chine), talked about RISC-V in China.

Mainland China has become the main market for semiconductors, attracting companies, talents and IP to the country. With the so-called “War on Huawei”, the Chinese government doubled down on independent innovation and mastery of the key technologies. In particular, it is crucial for China to emancipate themselves from the ARM/x86 duopoly and gain autonomy in designing processors and cores.

In that respect, RISC-V represent a unique opportunity for Chinese companies to develop their own cores and IP, and reshuffle the cards in the market. We wrote on extensive study of Chinese RISC-V ecosystem, from associations, universities, research centers as well as companies, in order to better understand who are the players of that ecosystem.

There is dependance on foreign intellectual property for semiconductors. The Huawei case is a scary screenplay for all in China. It is barred from ARM licenses, acquiring design tools, barred from using TSMC and SMIC, purchasing from Mediateak, and Qualcomm for 5G, etc. RISC-V is an opportunity for China to reduce risks regarding ISA. There is significant involvement with the RISC-V International Foundation. There are 7 premier members, on a total of 13. CRVA or Chinese RISC-V Alliance is managed by the Chinese Academy of Sciences. CRVIC is another organization aiming at fostering development and adoption. It is managed by the Municipality of Shanghai.

Patent-wise, China lags behind USA, but has widened the gap with the rest of the world. In IoT/AIoT, there are concrete developments happening. Servers and data centers are expected to take 2-3 years. More time may be needed for desktop PCs, smartphones, etc.

How is RISC-V better than ARM? Many Chinese companies have partnerships with ARM. The China relationship with ARM is very complex. RISC-V can help innovate to design your own chips. There can be some arbitrary US sanctions. Also, if it takes 2-3 years for data centers, etc., the world could have moved on. However, there are significant cash capabilities with Chinese companies. The Internet giants also have the necessary talent.

Digital sovereignty
David Fraboulet and Laurent Crouzet, French Ministry of Education, Research and Innovation, presented the European digital sovereignty and open source hardware: a perspective from French research and innovation.

The industrial digital value chain provides sovereignty. Circuit design is in the middle of the cluster. You definitely need the hardware tools. Interface is done at the function layer. For that, you will need EDA tools, that are not yet easy for Europe.

New innovation requiring design know-how include specific circuits for strategic use (ASICs), security chips, FPGAs, IA dedicated chips, and later, quantum computing and hybrid quantum. RISC-V presents a fantastic opportunity. It is being adopted progressively by the majors. Hyper computing is vital for sovereignty and innovation, and a contribution from RISC-V. Core processor is one of the keys.

What a year, 2020! Welcome 2021!!

Posted on Updated on

Welcome, 2021! The year 2020 is gone!! It is history!!! It was the year of the Covid-19, or, coronavirus. Note: the pandemic has not yet gone. Now, a new strain is doing the rounds. Some vaccines have already started shipping. Luckily, my family, and I have survived the year 2020! We sincerely hope you and your families have survived, as well. How many of us can survive this year? Fingers crossed, we hope that everyone should! We now look forward to the new year. There are hopes for proper vaccines, and those should be available for all, hopefully, soon!

Today, I wish to speak about how 2020 turned out to be a great year for me. Till March 2020, things were slow, as usual. Thereafter, they changed, and, fast! It all started with Yole Développement, France’s rapid, point-of-care molecular tests that help fight Covid-19, last April. There was a Semtech webinar on 5G fact vs. fiction, and how LoRaWAN plays a role. Next, Messe Muenchen India, hosted a webinar titled: ‘Opportunities in Medical Electronics post Covid-19’.

These were followed by the iconic IEEE International Reliability Physics Symposium (IRPS) 2020 in May 2020. For 57 years, the IRPS has been premiere conference for engineers and scientists to present new and original work in the area of microelectronics reliability. I made a new lot of followers.

Next, came Nokia, with the world’s first drone tsunami evacuation alerts in Sendai City, Japan. Infineon Technologies had a session on smart buildings. The Small Cell Forum spoke about how it was driving the ecosystem of small cell mobile infrastructure. This was followed by Dassault Systèmes and Aden Group for the Akila Care hospital for Covid-19, after the Leishenshan hospital in Wuhan, China! May 2020 ended with SEMI, SEMI Foundation and The Gig Economy webinar titled: Designing the future of work!

June 2020 was an even bigger month! BloombergNEF presented on India’s clean power revolution. Next, there was the 2020 Symposia on VLSI Technology and Circuits. It was held virtually for the first time! Following this came the IEEE 70th Electronic Components and Technology Conference (ECTC) 2020. Again, it was virtually held, for the first time. And, again, more followers came in!

Semicon West ahoy!
In July, the Semicon West 2020 event was held virtually, for the first time. According to Al Gore, some of the innovation around new materials is immense! So was ITC India 2020! Among the many presentations, one on ‘silicon lifecycle challenges and expanding role of test’, stood out. From Canada, there was an invite to cover Zinc8 Energy Solutions that redefines long-duration energy storage! And, what readership followed! 😉

Display Week 2020.

August saw SEMI, ESD Alliance, and McKinsey present on the future mobility disruptions on semiconductor design ecosystem. There was the IoT World 2020, as well. There was focus on data exchanges as the IoT market continues growth.

Interesting Display Week!
Next came Display Week 2020, thanks to an invite from long-time friend, Sri Peruvemba. This presented an opportunity to interact with the Display Supply Chain Consortium (DSCC). Foldable displays continue to advance! OLEDs are expected to lead from 2019-2024! An interesting session was about the women in technology.

September saw the Embedded Vision Summit 2020, where, I received an invitation, courtesy, Jeff Bier. Next came the SEMI Strategic Materials Conference (SMC) 2020. One presentation talked about silicon photonics and heterogenous integration challenges. SEMI also hosted a conference with Indium Corp., titled: What’s driving automotive electronics assembly and packaging? The IFA 2020 Berlin went virtual too!

Malcolm Penn, Future Horizons, UK, has predicted that the global semiconductor industry should grow 12 percent in 2021. Yole Developpement had a session on the NAND flash memory business. The Cadence Live 2020 event also went virtual for the first time.

Next came SEMI Global Smart Manufacturing Conference 2020. One standout presentation was on Predict and prevent automotive semiconductor zero defect enablement. In October, the future potential of 5G was unlocked at the GSMA Thrive North America 2020. There was Indian PM Narendra Modi at India Energy Forum by CERAWeek.

Dr. Wally Rhines.

CASPA and FHE
The Chinese American Semiconductor Professional Association (CASPA) organized its annual conference: Next Wave of Semiconductor Innovation, in the USA, last October, where Dr. Walden (Wally) Rhines presented the keynote.

For those keen, fully homomorphic encryption (FHE) has long been described as transformative for cloud security. The algorithm was developed to enable computing on encrypted data sets, keeping the underlying data secure. It is a game-changer in cloud computing. I had to be awake till 4am for this, and am grateful for a wonderful presentation. 😉

Next, BNEF London 2020 summit called for green recovery. This was followed by the SEMI and MATRADE session on the global semiconductor market. There was the 9th Americas Spectrum Management Conference, as well. SEMI organized the MEMS & Sensors Executive Congress (MSEC 2020). A standout report was tinyML, and the massive opportunity when MI meets real world of billions of sensors.

I also had the pleasure of covering Dr. Roslyn Layton, Co-founder, China Tech Threat, on the report: US tightens controls on exports to SMIC, China! Is there a way out? Again, all of these events were in October. I really had a tough time covering all! 😉

In November, there was the Intel FPGA Technology Day 2020, as well as Xilnx’s Xilinx Adapt: 5G event. The 5GAA organized a conference on CV2X in Europe. Yole Développement and Teledyne had a session on glass and silicon bioMEMS components for medical devices. Yole and Chip Integration Technology Center (CITC), the Netherlands, also hosted an event on power and RF packaging. There was the Siemens AG Digital Enterprise SPS Dialog event, as well.

I was pleasantly surprised on receiving an invite from the Gas Exporting Countries Forum (GECF) to participate in their 22nd Ministerial Meeting. Since GECF does not feature India, I was curious. So, I attended, and covered! 😉

SIA sets 2030 goals.

Next, Semiconductor Industry Association (SIA) examined China’s semiconductor self-sufficiency, in November. There was the IoT TechEx North America 2020, as well. Global Semiconductor and Electronics Forum 2020, presented many more opportunities to network.

Decadal plans
December, the last month of 2020, began with SEMI, USA, webinar on market data resources and equipment materials outlook for 2021. Next, Semiconductor Industry Association had a session on decadal plan for semiconductors setting 2030 goals.

Yole Développement and System Plus Consulting hosted the session on how 3D packaging was breaking new ground. Semiconductor Industry Association had another webinar on how semiconductors were driving automotives. These were followed by the 4th CIS & CEE Spectrum Management Conference, which I could not cover properly enough. This was largely due to the unfamiliar Russian dialect! There was also the RISC-V summit. Finally, my niece, Ms. Shelley Bhattacharjee, got married (see image). 🙂 We had to fly to Bangalore, followed by the mandatory quarantine, on return.

Shelley’s marriage.

Whew! That’s quite a list, and tremendous coverage! 2020 has been a year full of semiconductors. My blog has seen growing number of readers this past year. The global semiconductor industry also continued its unrelenting march, despite a very tough year gone by.

Bangalore trip
During the trip to Bangalore, the sight at the airport was amazing. Long queues for entry, preferably, mobile check-ins, and air hostesses wore PPE kits, etc. Some middle-seat passengers were in PPE kits. We all had to wear masks and head gear. In Bangalore, most of my friends did not even turn up to meet me, after promising. I understand their plight! Those who did, were grateful and thankful. As was I! Everyone lamented the plight that we all were in. They wanted the pandemic to disappear and things getting back to normal. One hopes that does happen this year.

On a personal note, virtual events are good, and probably, here to stay. However, they do not always bring the right audience, and of course, the speakers were missing the applauses. There were no master of ceremonies in many cases. In some cases, there was poor connectivity. Nevertheless, everyone provided post-event recordings. While you get to hear the very best about the latest in technology, there were hardly any demonstrations. Of course, there were the various, different background noises, in some cases, of babies crying, children and various partners screaming, and whistles of the pressure cooker. But then, this is a pandemic. Everyone is working from home! No one was ready for this situation!!

On the other side, literally driven to the corners, the world has found new resilience and adaptability. Thanks to WFH, there is now more family time. Friends long lost, have re-appeared. For me, especially, some industry events, earlier unthinkable, were easily available. Simply because: I put out their story first! 😉

There were some other events related to Industry 4.0, as well, on telecom and solar/PV. Rockwell Automation had its annual conference. As did Siemens! In telecom, there was the GSMA Thrive North America 2020 and the 9th Americas Spectrum Management Conference. Intel and IoT Solutions Alliance presented the telemetry and video analytics for Industry 4.0.

Dr. Wally Rhines featured by way of EDA adoption by IT companies. DVClub Europe (Design & Verification Club) looked at IP integration into complex SoCs. For electronic components, OTI’s ConducTorr CPM materials are now compatible with all OLED display manufacturing! Mention also needs to be made of IoT World 2020.

What’s next?
Already, there are multiple invites to cover conferences all over the world in 2021! There are semiconductors, along with Industry 4.0, medical electronics, telecom, etc. My first stop in the new year will be the Industry Strategy Symposium (ISS) 2021, organized by SEMI, USA.

Next, there is the PMWC 2021, at Silicon Valley, USA. The event will honor Dr. Anthony Fauci, White House coronavirus task force member. He has served as the director of the National Institute of Allergy and Infectious Diseases since 1984. There is a possibility of meeting him too! Let’s see how it all goes! There is also the Technology Unites by SEMI, later.

As one wise man said, true unity begins at all of our homes, with our respective families. Let us all hope and pray for a safer, better, and healthier 2021, and beyond. It is time to pat yourselves on the back. Rise, and shine! Stay safe, and prosper, dear friends!!

RISC-V presents unconstrained growth and opportunity: Ms. Calista Redmond

Posted on Updated on

RISC-V International 2020 Summit was held recently. Opening the conference, Ms. Calista Redmond, CEO, RISC-V International, spoke about how RISC-V presents unconstrained growth and opportunity. RISC-V was conceived 10 years ago as a community.

RISC-V community is focused on growing opportunities. Gartner points out that by 2025, 40 percent of ASICs will be designed by OEMs, up from around 30 percent today. Cloud service providers (CSPs) and leading OEMs will leverage RISC-V to add product and service value. Semiconductor vendors will also capitalize on RISC-V to drive chip innovation and market adoption.

Today, there are 30 billion connected and IoT devices by next year that demand security and custom processors. This is an opportunity for each of us to engage. There will be rapid RISC-V growth over the next five years led by industrial.

Semico Reseach predicts that the market will consume 62.4 billion RISC-V CPU cores by 2025, a 146.2 percent CAGR 2018-2025. The industrial sector leads with 16.7 billion cores. RISC-V, IP, software and tools are building the momentum. The total market for RISC-V IP and software is likely to grow to $1.07 billion by 2025 at a CAGR of 54.1 percent.

RISC-V adoption spans industries and workloads. These are across cloud and data center, automotive, industrial IoT, mobile and wireless, consumer and IoT devices, and memory. Today, RISC-V has over 750 members across 50 countries in just 5 years. These include 85 chip companies, 3 I/O, 11 services, 35 software, 4 systems, 12 industry and 56 research organizations. RISC-V is a dedicated community today.

There has been continuous industry innovation on RISC-V. It is being built as a fundamental base building block across many years. There has been an incredible industry progress. The European Processor Initiative finalized the first version of the RISC-V accelerator architecture, named EPAC. It will deliver the first test chip in 2021. RIOS Lab announced the PicoRio, an affordable RISC-V open source small-board computer, to be available H1-21. Imperas announced the first RISC-V verification reference model with UVM encapsulation.

Next, Microchip delivered the first SoC FPGA development kit based on RISC-V ISA. Saifang Technology released the world’s first RISC-V AI visual processing platform. SiFive unveiled the world’s fastest development board for RISC-V PCs. Micro Magic announced an incredibly fast 64-bit RISC-V core achieving 5GHzz and 13,000 CoreMarks at 1.1V.

It really is moving from embedded to enterprise. The EU Horizon 2020 De-RISC platform for aerospace was achieved. School of Computing at the Tokyo Institute of Technology developed a portable Linux RISC-V SoC design in 5,000 lines of Verilog. Huami released a new RISC-V based AI chip for biometric wearables.

CHIPS Alliance announced enhancements to the RISC-V SweRV Core EH2, the world’s first dual-threaded, commercial, embedded RISC-V core, and SweRV Core EL2, an ultra-small, ultra-low-power RISC-V core. Alibaba unveiled the RISC-V RV64GCV core for Xuantie 910 processor for cloud and edge servers. RISC-V is truly driving industry change. We are continuing to get greater visibility.

RISC-V International was launced in 2020. The RISC-V Technical Steering Committee is to govern technical strategy, build technical leadership and best-practice decision making. There is the RISC-V Learn and RISC-V Ambassadors and Alliances. RISC-V Exchange showcases cores, SoCs, boards, software, etc. RISC-V delivers incredible member support right through.