Lot has happened for semiconductors in 2023!

Posted on Updated on

Friends, we have reached the end of another year. Lot has happened for semiconductors this year. But, more of that later.

First, a very interesting mention about my blog stats. While I wrote about a third of articles during 2023, than what I did in 2022, the web traffic has exceeded over last year’s! Great! I can hopefully do more, with less! 😉 Thanks to all of those who stopped by to read. I will try and write more in 2024.

Chips are truly the heart of everything! In January, with Chips Acts across both USA and Europe, showed their concern about declining shares of semiconductor production, as against Asian countries. At CES 2023, digital scent and BEV made an appearance! We saw how there was innovation in immersion, with the advent of digital scent technology.

European Photonics Industry Consortium (EPIC) talked about how optical metamaterials and metalenses offer novel functions. Dr. Ms. Laurie E. Locascio, provided an update on implementation of CHIPS for America Act.

Lars Reger, NXP Semiconductors, talked about how positive incentives of the EU and US Chips Acts were for expansion of required manufacturing capacity, at ISS 2023. Mark Thirsk, Linx Consulting, stated how materials suppliers will be challenged to develop, manufacture, and deliver advanced products. American Innovation and Manufacturing (AIM) Act of 2020 looks at HFC phase down and polyfluoroalkyl substances (PFAS) regulation. Martin van den Brink, ASML, discussed holistic lithography and the road to one trillion transistors. We face the challenge of Moore’s Law chessboard. January ended with Malcolm Penn suggesting that the global semiconductor industry could drop -22 percent in 2023, with no change in the prediction from May 2022!!

Gina Raimondo.

In February, Industrial Advisory Committee (IAC), USA, provided an update on the USA CHIPS R&D program. IRPS 2023 announced its focus on reliability of semiconductor devices, ICs, and microelectronic assemblies. US Secretary of Commerce, Ms. Gina Raimondo, delivered a speech titled “The CHIPS Act and long-term vision for America’s technological leadership” at the Georgetown University’s School of Foreign Service. The University posted my story link, and I remain humbly obliged! Thank you!! Semiconductor Industry Association (SIA), USA, did a review of the 2022 semiconductor industry and took a look at 2023.

Masters of Digital 2023, DIGITALEUROPE, called for diverse workforce, and more participation from private sector, in March. Leading female founders in technology also discussed about closing the gap. At CERAWeek by S&P Global, Paul Marsden, Bechtel Energy, stated Inflation Reduction Act (IRA) puts very clear value on molecule of carbon.

Nils Poel, deputy head of market affairs, CLEPA — the European Association of Automotive Suppliers, talked about shifting gears on semiconductor innovation for automotive at imec, Belgium. At ASEAN Electronics and Semiconductors Summit 2023 held in the Philippines, demand for electronics and semiconductors was likely to grow in the ASEAN. At the SEMI Silicon Valley and Northeast Chapters conference, Jay Vleeschhouwer, Griffin Securities, presented on the state of EDA. The big two — Cadence and Synopsys — rule!

Jay Vleeschhouwer.

Jean-Christophe Eloy, Yole Développement, presented the status of the advanced packaging industry. I tried to make a point, stating, are you aware that a semiconductor fab requires significant annual investments, and has very high failure rates? International Photonics & Electronics Committee (IPEC) had a conference where they talked about how linear drive enables green all-optical connectivity for data centers.

European vision for semiconductors, was presented by Francisco Ibáñez, DG CONNECT, European Commission, at ISS Europe 2023. Guillaume Girardin, Yole Group, talked about how beyond Moore is actuating the transformation age. Photonics will be of great importance to the development of quantum technologies.

METIS addressed the skills needs of the European microelectronics industry. Dr. Michael Alexander, Roland Berger, talked about deglobalization of semiconductor supply chain. He proclaimed the European Chips Act is a good initiative, but likely insufficient. Dr. Ms. Sabine Herlitschka, Infineon, stated that key for an energy efficient world lies in new semiconductor materials. The month closed with the US Chips Act, IRA, and tax incentives: What’s in it for materials companies?

In April, European Innovation Council (EIC) organized the EIC Quantum Portfolio and Chips Act. EU-27 accounts for 121 quantum start-ups. EU also identified the challenge to support the expansion of chip design capabilities. The scope includes innovative design approaches addressing combination of different functionalities such as computing, RF, power, memory and sensing. Proposals on software development for semiconductor chip design are also considered in this challenge. Legal professionals in Greater Phoenix, USA, discussed how to apply for the first round of CHIPS Act funding.

My most popular article of the year: New world order for semiconductors is emerging, was next. The new world order is entirely dependent on technology, not warfare! Even Australia has big plans for quantum.

In May, Chips.gov and NIST unveiled vision and strategy for National Semiconductor Technology Center (NSTC). Dr. Thomas Morgenstern, Infineon Technologies, presented European Chips Act as framework for revitalizing European semiconductor ecosystem, at ASMC 2023. Thomas Sonderman, SkyWater Technology, talked about revitalizing the domestic semiconductor manufacturing. Robert Maire, Semiconductor Advisors, presented on Chips Act, China, Covid, downcycle, re-shoring, Taiwan and technology challenges — chip chaos.

ETP4HPC organized a seminar on HPC system software/application co-design strategic research agenda (SRA) 5. Malcolm Penn, Future Horizons, talked about re-shoring, HI being in the news! NIST, DoC, organized a round-table on workforce cross-sector partnerships. EU-US Trade and Technology Council (TTC) is said to be essential for achieving transatlantic shared objectives. SEMI Foundation talked about how women in semiconductors were bridging gender gap through allyship.

Come June, and Yasuo Nakane, Mizuho Securities presented the flat panel display (FPD) industry supply chain outlook at Display Week 2023. TSMC debuted N3P process, and update 2nm, and TSMC 3DFabric progress! Who can catch up? At 2023 Symposium on VLSI Technology & Circuits, multi-chiplet heterogeneous integration packaging for semiconductor system scaling was discussed. There was a workshop on how next level of spintronics is beneficial to LSIs for high performance and ultra-low power.

Semiconductor Industry Association (SIA), USA, organized a conference on how AI and semiconductors will drive innovation and productivity. Hiroyuki Mizuno, Hitachi, presented on quantum computing: from hype to game changer!

Agri-PV.

In July, ETP4HPC organized a conference on emerging technologies for HPC in Europe. Solarplaza organized a conference around lessons learned in agri-PV. European Photonics Industry Consortium (EPIC) held a conference on photonics for wearables. My article, TSMC and Samsung vs. new world order was hugely successful. Later, Nikkei Forum, Japan, organized a seminar on the untold story of the chip war, and underestimated impact of export controls.

August was a difficult month personally, as I had to deal with medical emergencies. Solar Media organized a conference around how the industry leaders optimize the performance of their renewable and energy storage assets. Industrial Info Resources (IIR) organized a seminar on global semiconductor and automotive industries. Leveraging Chips Act in Texas with industry incentives and initiatives, was widely read. Chip crisis was likely to last till 2024 forcing companies to adopt costly mitigating measures, per EC chips survey.

In September, Dr. David McKee, DTC Capabilities and Technologies Working Group, presented the stack architectural framework for digital twin platform. Road to Beyond Moore’ with heterogenous integration for next-gen computing was proclaimed at 2023 Heterogeneous Integration Symposium. Future Horizons stated global semiconductor industry’s ultra-strong Q2-23 was an unexpected big surprise. KDT consultation workshop was held in Brussels, Belgium, on advanced packaging and 3D heterogeneous integration for RF/mmWave applications. Xecs called out for RD&I in electronic components and systems in Europe.

CHIPS-packaging

In October, there was the Solarplaza Summit, which looked at agri-PV challenges and opportunities. SEMI, USA and Forge Nano demonstrated atomic layer deposition (ALD) strengths and perceived weaknesses. Also, SEMI University demonstrated how it was addressing the industry’s workforce challenges. SEMI next had a seminar on what can we do about PFAS? IEDM 2023 was announced, with chiplets, heterogenous design as key for future devices to achieve desired PPACt. EuroHPC Joint Undertaking discussed LUMI as enabler of world-class scientific breakthroughs!

In November, there was an update on CHIPS Act implementation, and recommendations from IAC Working Groups. European Photonics Industry Consortium (EPIC) hosted a seminar on optical designs and simulations. NAPMP, CHIPS for America, declared that collaboration was critical for the success of advanced packaging, APPF.

Come December, Cleantech Group reported low-carbon hydrogen growth was rising, but needs policy support. Semiconductor Industry Association (SIA), USA, organized a conference on how collaboration between auto and chip industries fosters innovation and drives market growth. Yole Group, France, organized a conference on China’s rise as a global challenger in semiconductor industry. NIST organized CHIPS R&D Interfaces technical seminar, stating that open chiplet economy was now real.

My personal crowning glory: Pradeep’s Techpoints was announced as media partner for Asia Photonics Expo 2024, to be held in Singapore. Thanks for the support, everyone. 🙂

That’s it for this year, folks. Hope to be back with more in 2024. Ciao, Tot ziens, Au revoir, Auf Wiedersehen, Sayōnara, Annyeonghi gaseyo, Selamat tinggal, Adiós, Do svidaniya, Goodbye, Abaar esho! 🙂

Have a great new year 2024 ahead! 😉