Germany

Lhyfe announces progress in green hydrogen projects

Posted on Updated on

Hydrogen producer, Lhyfe, from the city of Nantes, France, started the first production facility into operation in Oct. 2021. Today, the company offers renewable energy solutions, bio-gas, smart grids, and batteries.

Matthieu Guesné.

Matthieu Guesné, Chairman and CEO, Lhyfe, talked about their achievements during FY 2023. The FY 2023 revenues were at €1.3 million, which is x2, as compared to FY 2022. Lhyfe had the signature of multiple new clients in France and Germany, including Avia, Manitou, Iveco, John Deer, Hypion, Hype, Symbio, Bretetche Hydrogen, etc.

New sites
Two new sites were inaugurated in France (Buléon and Bessières), making Lhyfe the first producer of renewable hydrogen in the country. Eight other sites are currently in construction or extension, mainly in France and Germany, more than any other player in the sector in Europe. We have continued innovation with the world’s first offshore green hydrogen production.

Lhyfe is also boosting the scale-up, with €149m grant from the French government for 100 MW project near Le Havre in France. It has strengthened financing strategy, with a €28m first green corporate syndicated loan, and increase in secured grants at c230 million, as of December 2023.

Bouin (France) site.

Bouin, France site is now running at full speed. Factory was completed in 2021, and it is now fully booked. Extension is planned for up to 1 ton of green hydrogen/day. This is representing 2.5MW electrolysis installed capacity after extension. The onsite storage capacity will be extended from 700 kg to 5 tonnes. It is scheduled by end of FY 2024.

This is Lhyfe’s first green hydrogen production site with a current production capacity of up to 300 kg of green hydrogen/day (installed capacity of 0.75 MW). It has direct connection to wind farm, and has secured PPA with Vendée Energie. It is serving mobility clients. Lhyfe has 100 percent success rate in deliveries.

Providing site update on Buléon (France), he said it is located in Brittany (Morbihan, Buléon near Lorient). Site has production capacity up to 2 tonnes of green hydrogen per day (5 MW installed capacity). Lhyfe is addressing mobility (70 percent) and bulk industry (30 percent). Main source of energy is wind PPA with VSB énergies Nouvelles. Client has already been signed. Another site was installed as of end 2023. Commercial ramp-up will start by end of H1-2024.

Bessières (France) site update was next. It is located in Occitany (Bessières near Toulouse Occitany). Production capacity is up to 2 tonnes of green hydrogen per day (5 MW installed capacity). Main source of energy is wind PPA. It is also winner of the Corridor Hydrogen tender for projects. This plant is under commissioning. Commercial ramp-up will start by end of H1-2024.

Lhyfe has several sites under construction in Germany. Tübingen, Germany has up to 200 kg per day (1 MW installed capacity). It is aimed at supplying hydrogen-powered trains on the Pforzheim-Horb-Tübingen line from 2024. Lhyfe signed contract with Deusche Bahn. Unit has been installed and ready for client’s start of operations.

Schwäbisch Gmünd, Germany has up to 4 tpd (10 MW installed capacity). It is mostly used for mobility. Construction works was launched at the end 2023. Brake, Germany, has up to 4 tpd (10 MW installed capacity. Site construction had started at end of 2023. It is 100 percent used for bulk.

Sites under construction in France include those in Croixrault and Sorigny. Croixrault has up to 2 tonnes of green hydrogen per day (5 MW of installed electrolysis capacity). It is located on the Mine d’Or industrial area, alongside the A29 motorway. It is the first production unit in the Hauts-de-France region to make renewable hydrogen available to a wide market. It will supply local uses in mobility and industry. Civil works had started early 2024.

Green hydrogen can decarbonize ammonia.

Sorigny has up to 2 tonnes of green hydrogen per day (5 MW of installed electrolysis capacity). It is part of Hy’Touraine project. Green hydrogen will be supplied for uses in mobility and industry, with many local authorities and businesses already identified as having hydrogen needs in the area. Civil works started early 2024. In total, Lhyfe will have 10 plants. We are also developing in Spain.

Lhyfe has Fortress pipeline, excluding projects already under construction. Bulk projects are in Wallsend (UK) – 20 MW, HOPE Project (Belgium) – 10 MW, Bussy St-Georges (France) – 5 MW, Vallmoll (Spain) – 15 MW, Duisburg (Germany) – 20 MW, Milan (Italy) – 5 MW, and Le Cheylas (France) – 5 MW.

Onsite projects are in Gonfreville l’Orcher (France) – 100 MW, Nantes Saint-Nazaire Port (France) – 210 MW, Fonderies du Poitou (France) – 100 MW, Epinal (France) – 70 MW, SouthH2Port (Sweden) – 600 MW, Delfzijl (Netherlands) – 200 MW, etc. Backbone projects are in Aaland Island (Finland) – X GW, Lubmin (Germany) – 800 MW, and Perl (Germany) – 70 MW.

Lhyfe has secured €149m grant from French government to support 100 MW project near Le Havre in France. 28,000 m2 available space at the planned site of Gonfreville-l’Orcher. It will produce 100 MW. This confirms Lhyfe’s ability to raise significant subsidies and de-risk large projects. It confirms as well the status as a key player in the renewable hydrogen industry, and know-how and expertise of Lhyfe teams, pioneers in the industry.

The project has been approved by the European Commission as part of the third wave of IPCEI (Important Projects of Common European Interest) on hydrogen.

SEALHYFE pilot.

Offshore hydrogen production
Lhyfe is also paving the way for offshore hydrogen production. SEALHYFE pilot is a unique set of data for a concrete step forward in hydrogen offshore development. It is the first offshore hydrogen production unit in the world in 2022. It is producing green hydrogen offshore in the Atlantic Ocean during pilot period from May-Nov. 2023.

Green hydrogen was produced under stressed conditions (corrosion, direct connexion to wind mill, strong accelerations, fully remote operations). Millions of data was collected to support next phase (HOPE project). Reliability of hydrogen offshore production in an isolated environment, and management of the platform’s movements were undertaken. There was validation of production software and algorithms. It was decommissioned end-Nov. 2023.

HOPE or Hydrogen Offshore Production for Europe, was for the first time in the world. Green hydrogen will be produced at sea, and delivered ashore via
a composite pipeline to local customers for use in industry and transport sectors.

Up to 4 tpd of green hydrogen and 10 MW installed capacity. It is located in the North Sea, off the port of Ostend. Operations are expected early 2026. €33m grants awarded, o/w €20m from EU and €13m from Belgian government. This project is coordinated by Lhyfe, and implemented together with eight European partners.

Aland Island, off west coast of Finland, is an autonomous, demilitarized, Swedish-speaking region of Finland. Lhyfe has project to develop large-scale hydrogen production on Åland, integrated with gigawatt scale offshore wind in Åland waters. It is for use on Åland and in the wider European region. Lhyfe has signed MoU with CIP, the world’s largest dedicated fund manager within the greenfield renewable energy investments, and a global leader in offshore wind, green hydrogen.

Lhyfe is well positioned to answer future offshore bids to be launched in Europe from 2024 onward. Another 80 actions will be implemented over the coming years to address the Group’s ESG strategic orientations. Over 80 tonnes green hydrogen has been produced and sold to date.

Round-up 2022: War, chips, and back to overseas travels!

Posted on Updated on

Year 2022 has been quite eventful! With the Russia-Ukraine war, alongside Covid-19, things have gone downward for the global semiconductor industry. Next year, we all hope that the industry bounces back much more stronger.

Semicon dip in 2023?
World Semiconductor Trade Statistics (WSTS) released its semiconductor market forecast generated in Nov. 2022. Following a strong growth of 26.2 percent in 2021, WSTS revised its forecast down to a single-digit growth for the worldwide semiconductor market in 2022 with a total size of $580 billion, up 4.4 percent, for 2022.

For 2023, the global semiconductor market is projected to decline by -4.1 percent to $557 billion, driven by the memory segment, according to WSTS. Will that happen? Let’s see!

Some categories are still expected to see double-digit year-over-year growth in 2022, led by analog with 20.8 percent, sensors with 16.3 percent, and logic with 14.5 percent growth. Memory is expected to turn negative in the forecast, and decline 12.6 percent year-over-year. In 2022, all geographical regions are seen to show double-digit growth except Asia Pacific. Asia Pacific is likely to decline 2 percent. Americas is expected to show growth of 17 percent, Europe 12.6 percent, and Japan 10 percent, respectively.

TrendForce, Taiwan, has said that YoY growth of NAND Flash demand bits will stay under 30 percent from 2022 to 2025 as demand slows for PC client SSDs. Recent headwinds in the global economy have caused a demand freeze in the wider consumer electronics market. Enterprise SSDs will succeed as major driver of demand bit growth in future. TSMC has also made moves in the USA, and now, is targeting Europe. More of that later!

Review 2022
In Jan. 2022, Future Horizons said that the global semiconductor industry grew 26 percent in 2021, and was likely to grow 10 percent in 2022. This was later revised down to 4 percent growth for 2022.

Dr. Nicky Lu.

CEA-Leti organized a photonics workshop in Feb. 2022, where they talked about silicon photonics for AI, and integration of electronics and photonics. Dr. Nicky Lu, CEO and Founder, Etron, and Managing Board Director, Taiwan Semiconductor Industry Association (TSIA), spoke about start of tera-scale-integration era with optimized heterogeneous and monolithic integration at the fifth annual heterogeneous integration symposium, in Feb. 2021. Heterogenous integration impacts Silicon 3.0. Monolithic and heterogenous integration (MHI) has led to the Si4.0 era that is now ongoing.

March 2022 had HAXPES-Lab and what it can do for the electronics industry. There were key developments and implications of MWC 2022. DIGITALEUROPE, EU, had a webinar on how the Ukrainian IT industry was still standing against all odds, in March 2022.

ISQED 2022 was in April 2022, with Dr. Chi-foon Chan, Co-CEO, Synopsys discussing how to thrive in our changing environment. Digital Ts — threads, twins, technologies, and transformation, from Digital Twin Consortium (DTC), was another event.

OpenROAD.

May 2022 had MegaChips entering the US market for edge AI chips market. Display Week 2022 saw Ross Young discuss the smartphone and smartphone display market outlook. AR/VR market trends and technology outlook was presented by Guillaume Chansin, Director of Display Research, DSCC, at Display Week. It was in May that Future Horizons revised the semiconductor outlook for 2022 to 6 percent. Later, SEMI Arizona and Texas Chapters, USA, had a seminar on workforce shortage—meeting challenges for the semiconductor industry.

Semiconductor innovations
Global Semiconductor Alliance (GSA) had a conference on how diversity increases profitability. It looked at what managements can do to accelerate diversity. Honolulu, Hawaii, was the place for 2022 VLSI Symposium that looked at technology and circuits as critical infrastructure of future. Dr. Y. J. Mii, Senior VP of R&D, Taiwan Semiconductor Manufacturing Co. Ltd. (TSMC), presented on semiconductor innovations, from device to system.

Intel 4 CMOS with advanced FinFET transistors optimized for high-density and HPC came to the fore, and there was a workshop on cryogenic electronics for quantum computing. CHIPKIT emerged as an agile, re-usable open-source framework for test chip development. We had the OpenROAD project — open source platform for IC design innovation at VLSI Symposium 2022. Chips in Europe looked at advancing innovation in semiconductor industry, by SEMI Europe.

BloombergNEF summit in New Delhi talked about 2-4x investment that was needed to meet the net-zero targets by 2050. Semiconductor Industry Association-Semiconductor Research Corp., (SIA-SRC), USA discussed the future of semiconductor hardware. At Automatica 2022, Siemens’ showcased NX industrial electrical design to boost engineering productivity.

In July 2022, I wrote about why more women are definitely needed in semiconductors! Boreas Technology advised how piezo haptics will create their own market by introducing novel haptic apps. At Semicon West 2022, Ms. Laurie E. Locascio, Under Secretary of Commerce for Standards and Technology Director, National Institute of Standards and Technology (NIST), talked about how all parts of the US Chips Act need to be closely co-ordinated.

Andrea Lati, Director, Market Analysis, TechInsights, mentioned the semiconductor capital spending and equipment outlook for 2022. Christian Gregor Dieseldorff, Senior Principal Analyst, SEMI, discussed the trends and forecast for fab equipment spending, capacities, and new fabs.

NASA launches CRS-25!

NASA invites me!
Dr. Michael McCreary, Chief Innovation Officer, E Ink Corp., at Flex 2022, Semicon West 2022 stated how electrophoretic display was changing the look of autos, transportation, and beyond. Dr. Dawson Cagle, Program Manager, IARPA, talked about how IARPA’s smart e-pants were weaving electronics into textiles. In July, I was invited to attend NASA’s SpaceX CRS-25 launch to International Space Station. That was really something spectacular to behold!

Dr. Ms. Kate Darling, leading expert in Robot Ethics and MIT Media Lab Research Specialist, MIT Media Lab, talked about the future of human-robot interaction at Sensors Converge 2022. SIA discussed investing in innovation: blueprint for enduring American semiconductor leadership. Silicon-based quantum computing as a disruptive paradigm, was presented by Dr. Maud Vinet, Quantum Hardware Program Manager, CEA Leti, at Scaling and Lithography Tech Talks, Semicon West 2022.

Aug. 2022 saw how the EU Chips Act was necessary for accelerated digital transformation. I clarified whether people think I am very good in semiconductors? Dr. Henning Schröder, Group Leader, Fraunhofer Institute for Reliability and Micro-integration IZM, presented on glass-based quantum photonic packaging.

Agri-PV harvesting the opportunities of solar + farming started off Sept. 2022. Quantum dot imagers bridging SWIR accessibility gap was presented at SEMI MEMS & Sensors Summit 2022. Malcolm Penn, Future Horizons, revised global semiconductor growth forecast to +4 percent for 2022; with downturn likely ahead in 2023! Let’s see!

New materials required
SEMICON Taiwan 2022 Power & Opto Semiconductor Forum addressed how new materials are required to solve technology challenges, and support growth of electronics. SEMI, USA, looked into the future of computing in 2040. Center for the Study of the Presidency and Congress (CSPC), USA organized a conference on the US Chips Act and implementation. Xecs, Europe, maintained its focus on electronic components and systems.

Oct. 2022 had a session on time-sensitive networking (TSN) and future of connectivity by the Industry IoT Consortium (IIC). Satellite quantum key distribution moving to industrialization phase was discussed by the European Photonics Industry Consortium (EPIC). I also made my first overseas trip to Dubai, post the pandemic, to attend an event.

In Nov. 2022, SEMI, Northwest Chapter, USA, organized a conference on ‘The Future of More Than Moore—Chiplets, Advanced Packaging, and More’. Ms. Amy Leong, SVP, CMO, GM Emerging Growth/M&A, FormFactor Inc., presented on strategy for wafer probe in a chiplet world. Luc Van den hove, President and CEO, imec, discussed how future of scaling needs system-level thinking and STCO at IMT 2022. Japan intimated how it was gearing up to re-transform computing power and semiconductors.

From KA. Courtesy: Cirque de Soleil.

Las Vegas and KA
Las Vegas, USA, welcomed me back, again, after 15 years, for an industry event. May I also mention KA, a spectacular show from Cirque de Soleil, that I witnessed. Featuring 80 artists from around the world, KÀ is a gravity-defying production featuring powerfully emotive soundtrack that enhances the innovative blend of acrobatic feats, Capoeira, puppetry, projections, and martial arts. Great experience! I also bumped into an angel or Pari, mid-air, en route to New York. 🙂

Next, there was Semicon Europa 2022 in Munich, Germany, which included ITF Beyond 5G. Among the topics discussed were compound semiconductor epitaxy core of next-gen connectivity. Chips are now the new oil, was proclaimed by Laith Altimime, President, SEMI Europe! I cannot express how happy I am to hear this! More of it later!! There were talks about building scalable and ultra-coherent quantum computers with carbon nanotubes, metaverse, future of air travel, among topics at Semicon Europa 2022. Later, there was IEEE Standards Association (SA) workshop on 5G and beyond in New Delhi.

Dec. 2022 began with an SIA seminar that looked at the growing challenge of semiconductor design leadership. Design costs are rising with every new technology node. Moore’s Law scaling has not been keeping pace. New improvements are required in design and packaging.

There are challenges to global semiconductor manufacturing. Geopolitical tensions are creating risks of disruption and shortages. Semiconductor industry is suffering from a lack of attractiveness and qualified talent. Besides, we have global warming threat and need for sustainability programs. Countries also need to create enough incentives for manufacturing investments.

Still in Dec. 2022, the 68th International Electron Devices Meeting (IEDM) 2022 was held in San Francisco, USA. IEDM celebrated its 75th birthday this year. There were five focus sessions on advanced heterogeneous integration: chiplets and system-in-packaging, quantum information and sensing, special topics in non-von Neumann computing, DNA digital data storage transistor-based DNA sequencing, and bio-computing, and implantable-device technology.

DoE’s energy breakthrough!

Fusion ignition achieved
US Department of Energy (DOE) and DOE’s National Nuclear Security Administration (NNSA) announced the achievement of fusion ignition at Lawrence Livermore National Laboratory (LLNL)—a major scientific breakthrough decades in the making that will pave the way for advancements in national defense and the future of clean power. On December 5, a team at LLNL’s National Ignition Facility (NIF) conducted the first controlled fusion experiment in history to reach this milestone, also known as scientific energy breakeven, meaning it produced more energy from fusion than the laser energy used to drive it.

For the first time, researchers produced more energy from fusion, than used to drive it. This promises further discovery in clean power and nuclear weapons stewardship. This historic, first-of-its kind achievement will provide unprecedented capability to support NNSA’s Stockpile Stewardship Program, and will provide invaluable insights into the prospects of clean fusion energy. It would be a game-changer for efforts to achieve President Joe Biden’s goal of a net-zero carbon economy.

TSMC leads
On December 6, TSMC announced that besides TSMC Arizona’s first fab, which is scheduled to begin production of N4 process technology in 2024, it has started construction of a second fab, scheduled to begin production of 3nm process technology in 2026. When complete, TSMC Arizona’s two fabs will manufacture over 600,000 wafers per year, with estimated end-product value of more than US$40 billion.

TSMC is also reportedly in advanced talks for setting up its first potential European plant in Dresden, Germany. The plant could begin construction by 2024. This will be probably signed sometime in 2023.

National Institute of Standards and Technology (NIST) organized a session on US CHIPS and Science Act. Ms. Gina Raimoldo, Secretary of Commerce, USA, said teams are working to ensure implementation and future impact of US Chips Act. USA is also nudging TSMC to do more in the USA.

Dec. 16, TrendForce reported that YMTC may abandon the market for 3D NAND Flash by 2024 following the US Government’s decision to place it on entity list. Well, that may be bad news for China and flash memory market.

That reminds me! I came across a post on LinkedIn, where technologies were being discussed for semiconductors by lots of big names. Ok, my name was not included! 🙂 That’s fine. Here is a list that may be looked up: nanosheets, vertical-transport nanosheet field-effect transistors (VTFETs), compound semiconductor epitaxy, quantum communications, biosensors, glass-based quantum photonic packaging, 3D hybrid electronics, piezo haptics, system-on-multichip (SoMC) architectures, tera-scale-integration, KOOL DRAM, etc. Oh, it was my BDSM moment, surely! 😉

And, that’s it, folks! 🙂 One sincerely wishes that the global semiconductor industry, and semiconductor market, are back to the top again, in 2023. Warm wishes to everyone for a very successful and vibrant 2023.

PS: Edson Arantes do Nascimento, or Pele, Brazil, and football world’s superstar, is no more. Rest in peace, ‘O Rei’, the greatest!

EU HPC centres transforming industries

Posted on Updated on

European Technology Platform for High Performance Computing (ETP4HPC) hosted a conference on EU HPC centers for the industry today.

HPC for the benefit of industry is extremely relevant in this era of AI-based extreme data analysis. The industry can greatly benefit from this, for which HPC can be used as a valuable and powerful instrument. There are challenges such as accessibility, ease-of-use and on-demand provision of resources for industrial HPC users. An HPC ecosystem in Europe, and the setup of EuroHPC to facilitate the running of future Exascale class HPC machines open up questions on how the industry can benefit.

The panelists were: Ms. Alison Kennedy, Director, STFC Hartree Centre, Dr. Bastian Koller, MD, HPC Centre Stuttgart, and Dr. Jean-Philippe Nominé, HPC Strategic Collaborations Manager, CEA/DAM-Île de France, and Ms. Christine Ménaché, Head of TGCC, CEA/DAM-Île de France. Sai Narasimhamurthy, Seagate, was the moderator.

Transforming via HPC
Ms. Alison Kennedy said STFC’s Hartree Center is high-performance computing, data analytics and cognitive technology centre that provides businesses (and applied researchers) with access to powerful technologies, facilities and scientific computing expertise. It is based at Sci-Tech Daresbury in North West England, with around 100 staff. Its mission is: “Transforming UK industry by accelerating the adoption of high-performance computing, high-performance data analytics, and cognitive technologies (AI, ML, DL) through (industry) challenge-led research and innovation.”

Hartree is looking to support digital innovation in the following thematic application areas with a sector-based approach, underpinned by transferable technologies and skills. These areas are materials, transport, health, wellbeing, and life science, process engineering, Industry 4.0, and environment, energy and smart regions.

A typical project addresses industrial and scientific, economic or societal challenges, while advancing UK capabilities in digital innovation. Projects will lead to the development of new capability in the core technology and app areas. They will have strong engagement from external organizations.

Hartree National Centre for Digital Innovation has the mission to position the UK as a global leader in the apps of AI and HPC by industry. A five-year, £172 million government investment brings high-tech jobs and skills to the North West of England. HNCDI will provide UK companies with access to leading edge super-computing and AI technology, which can help develop new products and processes. Economic impacts include productivity uplifts and upskilled UK workforce in AI and computing. There is support for companies of all sizes with regional partnerships to support SMEs.

Test-before-invest
Dr.-Ing. Bastian Koller talked about High-performance Computing Center of Stuttgart (HLRS) and the industry. HLRS has been doing computational science and engineering since 1996. There is an implementation of an appropriate operation model (including updates of software, maintenance windows, etc.). There is understanding the system definition from an industrial viewpoint. There are clear access policies, certification (TISAX, ISO27001), and environmental certification, and increased data security. Test-before-invest involves dedicated activities to work on pre-competitive issues, and elaborate on potentials of using HPC/ HPDA and AI technologies.

The Strategic setups include Automotive Solution Center, Stuttgart (ASCS), Energy Solution Center (EnSOC), Smart Data Solution Center (SDSC), Media Solution Center (MSC), and Medical Solution Center (in progress). For solutions, they work with SICOS, and Excellerat for software. There is also a supercomputing academy, with modular training to become HPC experts. EuroHPC and other projects are going on.

Data-centric architecture
Dr. Jean-Philippe Nominé, said CCRT or Centre de Calcul Recherche et Technologie, is a separate entity. It is a component of the supercomputing complex. It has one site and two main facilities — TGCC since 2010, and TERA/EXA since 1997. There are three multi-petascale supercomputing centers. Value for partners is access to large computing resources through pooled funding. There are integrated services, and a secure and reliable HPC environment. It has proximity of experts for code porting and optimization, and training. The sharing of partners’ experience, issues encountered, and solutions, access to CEA technology and software development, is also available.

TGCC has a data-centric architecture. It is pooled infrastructure, with equipment and more, and optimized cost for all. CEA has multi-year renewable partnership contracts. CCRT partners include Cerfacs, Loreal, ThalesAlenia Space, Michelin, IFP Energies Nouvilles, ArianeGroup, Safran, TechnicAtome, EDF, IRSN, Ineris, Onera, France Genomique, etc.

Each partner has a share proportional to its financial participation. Contribution is based on TCO: investments, and operation costs are included. There is secure environment and flexible resource usage. CCRT governance has two committees, including representatives of each partner. Strategic committee COPIL is for budget decision making, new partners approval, strategic orientations, commissioning of new services, etc. Technical committee COMUT is for resource management policy and rules, new needs, etc.

CEA co-funded HPC service on top of state-of-the-art equipment. It has pooling infrastructures, know-how, best practices, etc. CEA is foreseeing and preparing new paradigms for simulation and data-base science and engineering.

Dr.-Ing. Bastian Koller said there an interest to make HPC centers compliant with GAIA-X and other sovereign trends in the E. Ms. Kennedy noted there are also initiatives to educate SMEs and engineers about HPC.